Investigators Archive

  
Publications with Fellow Investigators
Publications with Doctoral Students
Posters with Fellow Investigators
Posters with Doctoral Students
Patents with Fellow Investigators
Patents with Doctoral Students
Projects (PI)
Doctoral Adviser Connections
  

Collaboration Data

    Publications: 106
    Posters: 26
    Patents: 3

    Number of collaborators: 49

Nano-Tera Connections for Luca Benini

Luca Benini of ETHZ/D-ITET

Publications

2017

Power, Area, and Performance Optimization of Standard Cell Memory Arrays through Controlled Placement
ACM Transactions on Design Automation of Electronics Systems, Vol. 21, No. 4, Artcile 59, 2016
A. Teman, D. Rossi, P. Meinerzhagen, L. Benini, Andreas Burg

Enabling OpenVX support in mW-scale parallel accelerators
Cases16, October 01-07, 2016, Pittsburgh
Giuseppe Tagliavini, GermaiN Haugou, Andrea Marongiu, Luca Benini

An Ultra-Low Power Dual-mode ECG monitor for Healthcare and Wellness
DATE 2015, March 9-13, 2015, Grenoble, France
D. Bortolllotti, M. Magna A. Bartolini, R. Rovatti, G. Setti, L. Benini

High-Efficiency Lograithmic Number Unit Design based on an Improved Cotransformation Scheme
Date 2016, March 27-31, 2016, Dresden, Germany
Y.Popoff, F. Scheidegger, M. Schaffner, M. Gautschi, F. K. Gürkaynak, L. Benini

Human body heat for powering wearable devices: From thermal energy to application
Energy Conversion and Management, Vol. 131 (2017) 44-45.
M. Thielen, L. Sigrist, M. Magno, Ch. Hierold, L. Benini

Thermal Model Identification of Supercomputing Nodes in Production Environment
IECON 2016-42nd Annual Conference of the IEEE. IEEE, 2016.
Roberto Diversi, Andrea Bartolini, Francesco Beneventi, Luca Benini

Cooling-Aware Node-level Task Allocation for Next-Generation Green HPC Systems
IEEE HPCS 2016, Innsbruck, Austria, July 2016
Francesco Beneventi, Andrea Bartolini, Carlo Cavazzoni and Luca Benini

An Extended Shared Logarithmic Unit for Nonlinear Function Kernel Acceleration in a 65-nm CMOS Multicore Cluster
IEEE Journal of Solide-State Circuits, Vol. 52, No. 1, 2017
M. Gautschi, M. Schaffner, F. K. Gürkaynak, L. Benini

Accuracy and Performance Trade-offs of Logarithmic Number Units in Multi-core clusters
IEEE Symposium on Computer Arithmetic (Arith 2016), July 10-13, 2016, Santa Clara
Michael Schaffner, Michael Gautschi, Frank K. Gürkaynak, Luca Benini

A Synchronization-Based Hybrid-Memory Multi-Core Architecture for Energy-Efficient Biomedical Signal Processing
IEEE Transactions of Computers, ISSN: 0018-9340, Vol. 66, Issue: 4, pp. 575-585, DOI: 10.1109/TC.2016.2610426, IEEE Computer Society, April 2017
R. Braojos, D. Bortolotti, A. Bartolini, G. Ansaloni, L. Benini, D. Atienza

Efficient Sample Delay Calculation for 2D and 3D Ultrasound Imaging
IEEE Transactions on Biomedical Circuits and Systems (TBioCAS), August 2017, vol. 11, no. 4
A. Ibrahim, P. Hager, A. Bartolini, F. Angiolini, M. Arditi, J.-P. Thiran, L. Benini, G. De Micheli

A 65nm CMOS 6.4-to-39.3pj/FLOP @0.8V shared logarithmic floating point unit for acceleration of nonlinear function kernels in a tightly coupled processor cluster
ISSCC 2016, February 5-9 , 2016, San Francisco, USA
M. Gautschi, M. Schaffner, F. K. Gürkaynak, L. Benini

PULP: A Ultra-Low Power Parallel Accelerator for Energy-Efficient and Flexible Embedded Vision
Journal of Signal Processing Systems (2016) 84:339-354
F. Conti, D. Rossi, A. Pullini, I. Loi, L. Benini

Energy-Aware Bio-Signal Compressed Sensing Reconstruction: FOCUSS on the WBSN-gateway
MCSoC 2015, September 23-25 2015, Turin, Italy
D. Bortolotti, A. Bartolini, M. Mangia, R. Rovatti, G. Setti, L. Benini

Mobile Ultrasound Imaging on Heterogeneous Multi-Core Platforms
Proceedings of the 14th IEEE Symposium on Embedded Systems for Real-Time Multimedia (ESTIMedia), Pittsburgh, PA, USA, Oct 2016, pp. 9-18
A. Kurth, A. Tretter, P. A. Hager, S. Sanabria, O. Göksel, L. Thiele, L. Benini

Energy-Efficient Vision on the PULP Platform for Ultra-Low Power Computing
SIPS 2014, October 20-22, 2014, Belfast, UK
F. Conti, D. Rossi, A. Pullini, I. Loi, L. Benini

LightProbe: A 64-Channel Programmable Ultrasound Transducer Head with an Integrated Front-end and a 26.4 Gb/s Optical Link
To Appear, Proceedings of the 2017 IEEE International Symposium on Circuits and Systems (ISCAS), May 2017
P. Hager, C. Risser, P.-K. Weber, L. Benini

UltraLight: An Ultrafast Imaging Platform Based on a Digital 64-Channel Ultrasound Probe
To Appear, Proceedings of the IEEE International Ultrasonics Symposium (IUS), Washington, DC, USA, Sep. 2017
P. A. Hager, D. Speicher, C. Degel, L. Benini

Tailoring Instruction-Set Extensions for an Ultra Low Power Tightly-Coupled cluster of OpenRISC cores
VLSI-SoC 2015, October 5-7, 2015, Daejeon, South Korea
M. Gautschi, A. Traber, A. Pullini, L. Benini, M. Scandale, A. Di Federico, M. Beretta, G. Agosta

2016

A Constraint Programming Scheduler for Heterogeneous High-Performance Computing Machines
IEEE Transactions on Parallel and Distributed Systems (online avaiable)
Thomas Bridi, Andrea Bartolini, Michele Lombardi, Michela Milano, Luca Benini

3D CV Descriptor on Parallel Heterogeneous Platforms
ACM Trans. Embed. Comput. Syst. 14, 4, Article 73 (September 2015), 25 pages
Daniele Palossi, Martino Ruggiero, Luca Benini

3D CV Descriptor on Parallel Heterogeneous Platforms
ACM Trans. Embed. Comput. Syst. 14, 4, Article 73 (September 2015), 25 pages
Daniele Palossi, Martino Ruggiero, Luca Benini

A 60 GOPS/W, -1.8 V to 0.9 V body bias ULP cluster in 28 nm UTBB FD-SOI technology
Elsevier, Solid-State Electronics 117 (2016): 170-184
Davide Rossi, Antonio Pullini, Igor Loi, Michael Gautschi, Frank K. Gürkaynak, Andrea Bartolini, Philippe Flatresse, Luca Benini

A 60 GOPS/W, -1.8 V to 0.9 V body bias ULP cluster in 28 nm UTBB FD-SOI technology
Elsevier, Solid-State Electronics 117 (2016): 170-184
Davide Rossi, Antonio Pullini, Igor Loi, Michael Gautschi, Frank K. Gürkaynak, Andrea Bartolini, Philippe Flatresse, Luca Benini

Thermal Analysis and Interpolation Techniques for a Logic + WideIO Stacked DRAM Test Chip
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (online avaiable)
Francesco Beneventi, Andrea Bartolini, Pascal Vivet, Luca Benini

Thermal Analysis and Interpolation Techniques for a Logic + WideIO Stacked DRAM Test Chip
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (online avaiable)
Francesco Beneventi, Andrea Bartolini, Pascal Vivet, Luca Benini

Lightweight Virtual Memory Support for Zero-Copy Sharing of Pointer-Rich Data Structures in Heterogeneous Embedded SoCs
IEEE Transactions on Parallel and Distributed Systems
Pirmin Vogel, Andrea Marongiu, Luca Benini

A Constraint Programming Scheduler for Heterogeneous High-Performance Computing Machines
IEEE Transactions on Parallel and Distributed Systems (online avaiable)
Thomas Bridi, Andrea Bartolini, Michele Lombardi, Michela Milano, Luca Benini

GPU Acceleration for simulating massively parallel many-core platforms
IEEE Transactions on Parallel and Distributed Systems (TPDS), ISSN: 10459219, Vol. 26, Issue/Nr: 5, pp. 1336-1349, DOI: 10.1109/TPDS.2014.2319092, IEEE Computer Society, May 2015.
Shivani Raghav, Christian Pinto, Martino Ruggiero, Andrea Marongiu, David Atienza, Luca Benini,

GPU Acceleration for simulating massively parallel many-core platforms
IEEE Transactions on Parallel and Distributed Systems (TPDS), ISSN: 10459219, Vol. 26, Issue/Nr: 5, pp. 1336-1349, DOI: 10.1109/TPDS.2014.2319092, IEEE Computer Society, May 2015.
Shivani Raghav, Christian Pinto, Martino Ruggiero, Andrea Marongiu, David Atienza, Luca Benini,

Ekho: A 30.3W, 10k-Channel Fully Digital Integrated 3-D Beamformer for Medical Ultrasound Imaging Achieving 298M Focal Points per Second
IEEE Transactions on Very Large Scale Integration (VLSI) Systems , vol.PP, no.99, pp.1-14
P. A. Hager, A. Bartolini, L. Benini

Ekho: A 30.3W, 10k-Channel Fully Digital Integrated 3-D Beamformer for Medical Ultrasound Imaging Achieving 298M Focal Points per Second
IEEE Transactions on Very Large Scale Integration (VLSI) Systems , vol.PP, no.99, pp.1-14
P. A. Hager, A. Bartolini, L. Benini

Towards Near-Threshold Server Processors
IEEE/ACM DATE 2016, Dresden, Germany, March 2016.
Ali Pahlevan , Javier Picorel , Arash Pourhabibi Zarandi , Davide Rossi , Marina Zapater , Andrea Bartolini, Pablo G. Del Valle , David Atienza , Luca Benini, Babak Falsafi

Towards Near-Threshold Server Processors
IEEE/ACM DATE 2016, Dresden, Germany, March 2016.
Ali Pahlevan , Javier Picorel , Arash Pourhabibi Zarandi , Davide Rossi , Marina Zapater , Andrea Bartolini, Pablo G. Del Valle , David Atienza , Luca Benini, Babak Falsafi

2015

Digitally Controlled Feedback for DC Offset Cancellation in a Wearable Multichannel EMG Platform
Accepted for publication at EMBC 2015
Marco Tomasini, Simone Benatti, Filippo Casamassima, Bojan Milosevic*, Schekeb Fateh, Elisabetta Farella, Luca Benini

A Versatile Embedded Platform for EMG Geesture Recognition
IEEE Transactions on Biomedical Circuits and Systems, Vol. 28, 2015
S. Benatti, B. Milosevic, F. Casamassima, E. Farella, P. Schönle, P. Bunjaku, S. Fateh, T. Burger, Q. Huang, L. Benini

Energy-Aware Cooling for Hot-water Cooled Supercomputers
IEEE/ACM DATE 2015, Grenoble, France, March 2015.
Christian Conficoni, Andrea Bartolini, Andrea Tilli, Giampietro Tecchiolli and Luca Benini

DRAM or no-DRAM? Exploring Linear Solver Architectures for Image Domain Warping in 28nm CMOS
IEEE/ACM DATE 2015, Grenoble, France, March 2015.
Michael Schaffner, Frank K. Gurkaynak, Aljoscha Smolic and Luca Benini

High Performance AXI-4.0 Based Interconnect for Extensible Smart Memory Cubes
IEEE/ACM DATE 2015, Grenoble, France, March 2015.
Erfan Azarkhish, Igor Loi, Davide Rossi and Luca Benini

A Ultra-Low-Energy Convolution Engine for Fast Brain-Inspired Vision in Multicore Clusters
IEEE/ACM DATE 2015, Grenoble, France, March 2015.
Francesco Conti and Luca Benini

Tackling the Bottleneck of Delay Tables in 3D Ultrasound Imaging
Proceedings of the DATE Conference, Grenoble, France, 2015, pp. 1683-1688
Aya Ibrahim, Pascal Hager, Andrea Bartolini, Federico Angiolini, Marcel Arditi, Luca Benini, Giovanni De Micheli

Peak shift measurement of localized surface plasmon resonance by a portable electronic system
Sens. Actuators B Chem., vol. 176, pp. 225–231, 2013
G. Cappi, E. Accastelli, V. Cantale, M. A. Rampi, L. Benini, and C. Guiducci

2014

Bias-Compensated Least Squares Identification of Distributed Thermal Models for Many-Core Systems-on-Chip
Circuits and Systems I: Regular Papers, IEEE Transactions on , vol.PP, no.99, pp.1,14, doi: 10.1109/TCSI.2014.2312495
Roberto Diversi, Andrea Tilli, Andrea Bartolini, Francesco Beneventi, Luca Benini

Unveiling eurora - thermal and power characterization of the most energy-efficient supercomputer in the world
DATE 2014
Andrea Bartolini, Matteo Cacciari, Carlo Cavazzoni, Giampietro Tecchioli, Luca Benini

Thermal analysis and model identification techniques for a logic+ WIDEIO stacked DRAM test chip
DATE 2014
Francesco Beneventi, Andrea Bartolini, Pascal Vivet, Denis Dutoit, Luca Benini

Efficient Parallel Beamforming for 3D Ultrasound Imaging
GLSVLSI14 Proceedings of the 24th edition of the great lakes symposium on VLSI, Houston, Texas, USA, pp. 175-180, May 2014
Pirmin Vogel, Andrea Bartolini, Luca Benini

Dynamic Variability Management in Mobile Multicore Processors under Lifetime Constraints
IEEE ICCD 2014, Seoul, Korea, October 2014
Pietro Mercati, Francesco Paterna, Andrea Bartolini, Luca Benini and Tajana Simunic Rosing

A Reconfigurable 5-14 bit SAR ADC for Battery-Powered Instrumentation
IEEE Trans. On Circuits and Systems I
S. Fateh, P. Schönle, L. Bettini, G. Rovere, L. Benini, Q. Huang

A Modular Shared L2 Memory Design for 3D Integration
IEEE Trans. On Very Large Scale Integration Systems (T-VLSI), Vol. 33, no. 8, pp. 1-21, 2014. doi:10.1109/TVLSI.2014.2340013
Erfan Azarkhish, Igor Loi, Davide Rossi and Luca Benini

Quantifying the Impact of Variability on the Energy Efficiency for a Next-Generation Ultra-Green Supercomputer
ISLPED 2014
Francesco Fraternali, Andrea Bartolini, Carlo Cavazzoni, Giampietro Tecchioli, Luca Benini

Assessing the Area/Power/Performance Tradeoffs for an Integrated Fully-Digital, Large-Scale 3D-Ultrasound Beamformer
Proceedings of the 2014 IEEE Biomedical Circuits and Systems Conference (BioCAS)
Pascal Alexander Hager, Pirmin Vogel, Andrea Bartolini, Luca Benini

Tackling the Bottleneck of Delay Tables in 3D Ultrasound Imaging
Proceedings of the DATE Conference, Grenoble, France
Aya Ibrahim, Pascal Hager, Andrea Bartolini, Federico Angiolini, Marcel Arditi, Luca Benini, Giovanni De Micheli

2013

A portable setup for molecular detection by transmission LSPR
Materials Research Society Symposium Proceedings, 2013, vol. 1479, pp. 27-32
G. Cappi, E. Accastelli, F. M. Spiga, V. Cantale, M. A. Rampi, L. Benini, and C. Guiducci

EMG-based Hand Gesture Recognition With Flexible Analog Front-End
Proceedings of the Biomedical Circuits and Systems Conference (BioCAS)
S. Benatti, B. Milosevic, F. Casamassima, P. Schönle, P. Bunjaku, S. Fateh, Q. Huang, L. Benini

Peak Shift Measurement of Localized Surface Plasmon Resonance by a Portable Electronic System
Sensors and Actuators B: Chemical, Volume 176, January 2013,
G. Cappi, E. Accastelli, V. Cantale, M. A. Rampi, L. Benini, C. Guiducci

2012

A Portable Setup for Molecular Detection by Transmission LSPR
Nanostructured Materials and Nanotechnology Symposium- MRS Proceedings from IMRC 2012.
Giulia Cappi, Enrico Accastelli, Fabio M. Spiga, Vera Cantale, Maria A. Rampi, Luca Benini and Carlotta Guiducci

2011

Compressed Sensing for Real-Time Energy-Aware ECG Compression on Wireless Body Sensor Nodes
accepted on Proceedings of the 2011 IEEE Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS 11), Madrid, Spain, Published in Lecture Notes in Computer Science (LNCS), expected date of publication: September 2011
A. Dogan, D. Atienza, Andreas Burg, I. Loi, L. Benini

Design issues and considerations for low-cost 3-D TSV IC technology
IEEE Journal of Solid-State Circuits
Geert Van der Plas, Paresh Limaye, Igor Loi, Abdelkarim Mercha, Herman Oprins, Cristina Torregiani, Steven Thijs, Dimitri Linten, Michele Stucchi, Guruprasad Katti, Dimitrios Velenis, Vladimir Cherman, Bart Vandevelde, Veerle Simons, Ingrid De Wolf, Riet Labie, Dan Perry, Stephane Bronckers, Nikolaos Minas, Miro Cupac, Wouter Ruythooren, Jan Van Olmen, Alain Phommahaxay, Muriel de Potter de ten Broeck, Ann Opdebeeck, Michal Rakowski, Bart De Wachter, Morin Dehan, Marc Nelis, Rahul Agarwal, Antonio Pullini, Federico Angiolini, Luca Benini, Wim Dehaene, Youssef Travaly, Eric Beyne, Paul Marchal

2010

Networks on chips: From research to products
Design Automation Conference (DAC), 2010 47th ACM/IEEE
Giovanni De Micheli, Ciprian Seiculescu, Srinivasan Murali, Luca Benini, Federico Angiolini, Antonio Pullini

2008

Network-on-chip design and synthesis outlook
INTEGRATION, the VLSI journal
David Atienza, Federico Angiolini, Srinivasan Murali, Antonio Pullini, Luca Benini, Giovanni De Micheli

  

Related Patents

2009

Method to design network-on-chip (noc) - based communication systems
Srinivasan Murali, Luca Benini, Giovanni De Micheli
U.S.A. patent International patent Europe patent

Superfici stabilizzate per applicazioni di rilevazione capacitiva con DNA
Benini Luca, Carrara Sandro, Ricco Bruno, Samori Bruno, Stagni Claudio
Italy patent

Microarray device for DNA recognition, apparatus using the microarray device, and corresponding method of operation
Bruno Ricco, Carlotta Guiducci, Luca Benini
U.S.A. patent International patent Italy patent Europe patent Canada patent

  

Nano-Tera Posters

2016

Active Ultrasound Transducer Heads
Pascal Alexander Hager, Andrea Bartolini, Luca Benini
UltrasoundToGo

Precise and Approximate Logarithmic Number Units shared in a Multi-core Cluster
Michael Gautschi, Michael Schaffner, Frank Gurkanyak, Luca Benini
IcySoC

VivoSoC Evolution: a Flexible and Portable Biomedical SoC Platform for Data Acquisition and Processing
Giovanni Rovere, Florian Glaser, Schekeb Fateh, Philipp Schönle, Xu Han, Thomas Burger, Qiuting Huang, Luca Benini.
WearMeSoC

IcySoC Ultra Low Power Design with Approximate Computing
A. Bonetti, V. Camus, J. Schlachter, C. Müller, Frank Gürkaynak, L. Benini, C. Enz, D. Ruffieux, P. Rochaix, M. Pons, A. Burg
IcySoC

2015

Approximate Computing Units for an Ultra-Low Power Platform
Michael Gautschi, Antonio Pullini, Frank Gurkanyak, Luca Benini
IcySoC

Single-Chip 3D Ultrasound Beamforming
Pascal Alexander Hager, Andrea Bartolini, Luca Benini
UltrasoundToGo

2014

IcySoC: Inexact Sub- and Near-Threshold Systems for Ultra-Low-Power Devices
C. Piguet, C. Enz, L. Benini, Andreas Burg, T. Lentsch, F. Gurkaynak, D. Séverac, M. Pons
IcySoC

Fast and Scalable Simulation of Many-Core Heterogeneous SoCs
Shivani Raghav, Martino Ruggiero, David Atienza, Christian Pinto, Andrea Marongiu, Luca Benini
YINS

Ultra Low Power Processing Platform
Antonio Pullini, Frank K. Gurkaynak, Luca Benini, Adam Teman, Jeremy Constantin, Andreas Burg
IcySoC

2012

Biomolecules detection based on Transmission LSPR
Cappi, Accastelli, Benini, Guiducci
ISyPeM

©nano-tera.ch 2007-2008